电子科技大学19秋《EDA技术》在线作业1【满分】 作者:周老师 分类: 电子科技大学 发布时间: 2019-12-14 21:51 专业辅导各院校在线、离线考核、形考、终极考核、统考、社会调查报告、毕业论文写作交流等! 联系我们:QQ客服:3326650399 439328128 微信客服①:cs80188 微信客服②:cs80189 扫一扫添加我为好友 扫一扫添加我为好友 【奥鹏】-[电子科技大学]19秋《EDA技术》在线作业1 试卷总分:100 得分:100 第1题,变量是一种局部量,变量可在以下哪些位置进行定义 A、process、architecture、entity B、process、function、procedure C、function、entity、package D、entity、package、procedure 正确答案: 第2题,请在下例的语句中选择所需的符号____。signal a,b,c : std_logic;c____a+b after 10ns; A、:= B、= C、== D、= 正确答案: 第3题,Altera公司开发的开发软件为 A、Foundation B、ispDesignEXPERT C、MaxplusⅡ D、ISE 正确答案: 第4题,VHDL的信号(SIGNAL)是一种数值容器,不仅可以容纳当前值,也可以保持( )。 A、历史值 B、不变 C、动态变化 D、状态 正确答案: 第5题,重载操作符的定义一般见于 IEEE 库的哪几个程序包 A、std_logic_arith、std_logic_unsigned、std_logic_signed B、std_logic_arith、std_logic_unsigned、std_logic_1164 C、std_logic_unsigned、std_logic_1164、std_logic_arith D、std_logic_1164、std_logic_arith、std_logic_unsigned、std_logic_signed 正确答案: 第6题,FLEX10K 结构中的最小单元是 A、EAB B、LAB C、LE D、CLB 正确答案: 第7题,若a=1,b=2,下面程序执行后,a和b的值分别为 。 architecture rtl of entityName is signal a, b: integer; begin process (a, b)variable c: integer; begin a =b ; c := a ; b = c ; end process; end rtl ; A、1,2 B、2,1 C、1,1 D、2, 2 正确答案: 第8题,不符合进程语句启动条件的是 A、if语句 B、wait语句 C、敏感信号量 D、wait语句或敏感信号量 正确答案: 第9题,在VHDL中,( )不能将信息带出对它定义的当前设计单元。 A、信号 B、常量 C、数据 D、变量 正确答案: 第10题,在VHDL中,结构体内部是由( )语句组成的。 A、顺序 B、并行 C、顺序和并行 D、任何 正确答案: 第11题,一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序成为( )。 A、设计输入 B、设计输出 C、设计实体 D、设计结构 正确答案: 第12题,在设计处理过程中,可产生供器件编程使用的数据文件,对于FPGA来说是生成( )文件。 A、熔丝图 B、位流数据 C、图形 D、仿真 正确答案: 第13题,在结构体说明中的几种结构体功能描述语句为 语句。 A、顺序执行 B、并行执行 C、顺序/并行执行 D、循环执行 正确答案: 第14题,如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则B&A的值为____________。 A、100011 B、011100 C、110011 D、010011 正确答案: 第15题,关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(7 downto 5)=_____________。 A、’010 B、‘001 C、‘011 D、’100 正确答案: 第16题,下面哪种语句不是顺序语句 A、if语句 B、case语句 C、wait语句 D、component语句 正确答案: 第17题,下列关于变量的说法正确的是 A、变量是一个局部量,它只能在进程和子程序中使用。 B、变量的赋值不是立即发生的。 C、在进程的敏感信号表中,既可以使用信号,也可以使用变量。 D、变量赋值的一般表达式为:目标变量名= 表达式。 正确答案: 第18题,Quartus II的设计文件不能直接保存在( )。 A、硬盘 B、根目录 C、文件夹 D、工程目录 正确答案: 第19题,在VHDL中,为了使已声明的数据类型、子程序、元件能被其他设计实体调用或共享,可以把他们汇集在( )中。 A、设计实体 B、子程序 C、结构体 D、程序库 正确答案: 第20题,固核的正确描述为( )。 A、提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路 B、提供设计的最总产品——模型库 C、以可执行文件的形式提交用户,完成了综合的功能块 D、以上都不对 正确答案: EDA技术(8)秋(9870)电子(313)科技(312)在线(6021)《(4083)》(3453)作业(3466)大学(10181) 专业辅导各院校在线、离线考核、形考、终极考核、统考、社会调查报告、毕业论文写作交流等!(非免费) 联系我们:QQ客服:3326650399 439328128 微信客服①:cs80188 微信客服②:cs80189 扫一扫添加我为好友 扫一扫添加我为好友
专业辅导各院校在线、离线考核、形考、终极考核、统考、社会调查报告、毕业论文写作交流等!(非免费)
联系我们:QQ客服:3326650399 439328128 微信客服①:cs80188 微信客服②:cs80189
扫一扫添加我为好友 扫一扫添加我为好友